Sciweavers

ASPDAC
2005
ACM

Buffering global interconnects in structured ASIC design

13 years 6 months ago
Buffering global interconnects in structured ASIC design
Structured ASICs present an attractive alternative to reducing design costs and turnaround times in nanometer designs. As with conventional ASICs, such designs require global wires to be buffered. However, via-programmable designs must prefabricate and preplace buffers in the layout. This paper proposes a novel and accurate statistical estimation technique for distributing prefabricated buffers through a layout. It employs Rent’s rule to estimate the buffer distribution required for the layout, so that an appropriate structured ASIC may be selected for the design. Experimental results show that the buffer distribution estimation is accurate and economic, and that a uniform buffer distribution can maintain a high degree of regularity in design and shows a good timing performance, comparable with nonuniform buffer distribution. Key words: Structured ASIC, Rent’s rule, buffer insertion, interconnect, physical design
Tianpei Zhang, Sachin S. Sapatnekar
Added 13 Oct 2010
Updated 13 Oct 2010
Type Conference
Year 2005
Where ASPDAC
Authors Tianpei Zhang, Sachin S. Sapatnekar
Comments (0)