Sciweavers

ISPASS
2008
IEEE

Metrics for Architecture-Level Lifetime Reliability Analysis

13 years 11 months ago
Metrics for Architecture-Level Lifetime Reliability Analysis
Abstract— This work concerns metrics for evaluating microarchitectural enhancements to improve processor lifetime reliability. A commonly reported reliability metric is mean time to failure (MTTF). Although the MTTF metric is simpler to evaluate, it does not provide information on the reliability characteristics during the relatively short operational life of commodity processors. An alternate metric is nTTF, which represents the time to failure of n% of the processor population. nTTF is a more informative metric for the (short) portion of the lifetime that is relevant to the enduser, but determining it requires knowledge of the distribution of processor failure times which is generally hard to obtain. The goals of this paper are (1) to determine if the choice of metric has a quantitative impact on architecture-level reliability analysis and modern superscalar processor designs and (2) to build a fundamental understanding of why and when MTTF- and nTTFdriven analysis result in differ...
Pradeep Ramachandran, Sarita V. Adve, Pradip Bose,
Added 31 May 2010
Updated 31 May 2010
Type Conference
Year 2008
Where ISPASS
Authors Pradeep Ramachandran, Sarita V. Adve, Pradip Bose, Jude A. Rivers
Comments (0)