Sciweavers

146 search results - page 1 / 30
» Enhanced clustered voltage scaling for low power
Sort
View
GLVLSI
2002
IEEE
108views VLSI» more  GLVLSI 2002»
13 years 9 months ago
Enhanced clustered voltage scaling for low power
Ì × Ô Ô Ö ÔÖ × ÒØ× ÚÓÐØ × Ð Ò ÔÔÖÓ Ø Ø × × ÓÒ Ò Ò Ò Ú Ö ÒØ Ó ÐÙ×Ø Ö ÚÓÐØ × Ð Ò ÓÖ Ò ÐÐÝ ÔÖÓÔÓ× Ý Í× Ñ Ò ÀÓÖÓÛ...
Monica Donno, Luca Macchiarulo, Alberto Macii, Enr...
RTAS
2000
IEEE
13 years 9 months ago
Voltage-Clock-Scaling Adaptive Scheduling Techniques for Low Power in Hard Real-Time Systems
—Many embedded systems operate under severe power and energy constraints. Voltage clock scaling is one mechanism by which energy consumption may be reduced: It is based on the fa...
C. Mani Krishna, Yann-Hang Lee
ISQED
2007
IEEE
136views Hardware» more  ISQED 2007»
13 years 11 months ago
Strain Silicon Optimization for Memory and Logic in Nano-Scale CMOS
Straining of silicon improves mobility of carriers resulting in speed enhancement for transistors in CMOS technology. Traditionally, silicon straining is applied in a similar ad-h...
Rajani Kuchipudi, Hamid Mahmoodi
ISCAS
2008
IEEE
265views Hardware» more  ISCAS 2008»
13 years 11 months ago
Dynamic voltage and frequency scaling circuits with two supply voltages
Abstract— This paper presents circuits that enable dynamic voltage and frequency scaling (DVFS) for finegrained chip multi-processors to reduce both dynamic and leakage power di...
Wayne H. Cheng, Bevan M. Baas
ISPD
2006
ACM
84views Hardware» more  ISPD 2006»
13 years 10 months ago
Integrated retiming and simultaneous Vdd/Vth scaling for total power minimization
The integration of retiming and simultaneous supply/threshold voltage scaling has a potential to enable more rigorous total power reduction. However, such integration is a highly ...
Mongkol Ekpanyapong, Sung Kyu Lim