Sciweavers

12 search results - page 1 / 3
» On signal tracing in post-silicon validation
Sort
View
ATS
2010
IEEE
253views Hardware» more  ATS 2010»
13 years 2 months ago
On Signal Tracing for Debugging Speedpath-Related Electrical Errors in Post-Silicon Validation
One of the most challenging problems in post-silicon validation is to identify those errors that cause prohibitive extra delay on speedpaths in the circuit under debug (CUD) and o...
Xiao Liu, Qiang Xu
DATE
2008
IEEE
121views Hardware» more  DATE 2008»
13 years 11 months ago
On Automated Trigger Event Generation in Post-Silicon Validation
When searching for functional bugs in silicon, debug data is acquired after a trigger event occurs. A trigger event can be configured at run-time using a set of control registers...
Ho Fai Ko, Nicola Nicolici
DAC
2009
ACM
14 years 5 months ago
Interconnection fabric design for tracing signals in post-silicon validation
Post-silicon validation has become an essential step in the design flow of today's complex integrated circuits. One effective technique that provides real-time visibility to ...
Xiao Liu, Qiang Xu
DATE
2009
IEEE
110views Hardware» more  DATE 2009»
13 years 11 months ago
Trace signal selection for visibility enhancement in post-silicon validation
Today’s complex integrated circuit designs increasingly rely on post-silicon validation to eliminate bugs that escape from presilicon verification. One effective silicon debug ...
Xiao Liu, Qiang Xu
ASPDAC
2010
ACM
129views Hardware» more  ASPDAC 2010»
13 years 2 months ago
On signal tracing in post-silicon validation
It is increasingly difficult to guarantee the first silicon success for complex integrated circuit (IC) designs. Post-silicon validation has thus become an essential step in the I...
Qiang Xu, Xiao Liu