Sciweavers

17 search results - page 2 / 4
» Simultaneous Wire Sizing and Wire Spacing in Post-Layout Per...
Sort
View
DAC
2007
ACM
14 years 5 months ago
TROY: Track Router with Yield-driven Wire Planning
In this paper, we propose TROY, the first track router with yield-driven wire planning to optimize yield loss due to random defects. As the probability of failure (POF) computed f...
Minsik Cho, Hua Xiang, Ruchir Puri, David Z. Pan
ICCAD
1997
IEEE
118views Hardware» more  ICCAD 1997»
13 years 8 months ago
Global interconnect sizing and spacing with consideration of coupling capacitance
This paper presents an efficient approach to perform global interconnect sizing and spacing (GISS) for multiple nets to minimize interconnect delays with consideration of couplin...
Jason Cong, Lei He, Cheng-Kok Koh, David Zhigang P...
TCAD
2008
106views more  TCAD 2008»
13 years 4 months ago
Track Routing and Optimization for Yield
Abstract--In this paper, we propose track routing and optimization for yield (TROY), the first track router for the optimization of yield loss due to random defects. As the probabi...
Minsik Cho, Hua Xiang, Ruchir Puri, David Z. Pan
ISLPED
2005
ACM
108views Hardware» more  ISLPED 2005»
13 years 10 months ago
Replacing global wires with an on-chip network: a power analysis
This paper explores the power implications of replacing global chip wires with an on-chip network. We optimize network links by varying repeater spacing, link pipelining, and volt...
Seongmoo Heo, Krste Asanovic
VLSID
1999
IEEE
104views VLSI» more  VLSID 1999»
13 years 9 months ago
Interconnect Optimization Strategies for High-Performance VLSI Designs
Interconnect tuning and repeater insertion are necessary to optimize interconnectdelay, signalperformanceandintegrity, andinterconnectmanufacturability and reliability. Repeater i...
Andrew B. Kahng, Sudhakar Muddu, Egino Sarto