Sciweavers

DAC
2011
ACM

Full-chip TSV-to-TSV coupling analysis and optimization in 3D IC

12 years 4 months ago
Full-chip TSV-to-TSV coupling analysis and optimization in 3D IC
This paper studies TSV-to-TSV coupling in 3D ICs. A full-chip SI analysis flow is proposed based on the proposed coupling model. Analysis results show that TSVs cause significant coupling noise and timing problems despite that TSV count is much smaller compared with the gate count. Two approaches are proposed to alleviate TSV-to-TSV coupling, namely TSV shielding and buffer insertion. Analysis results show that both approaches are effective in reducing the TSV-caused-coupling and improving timing. Categories and Subject Descriptors B.7.2 [Hardware, Integrated Circuit]: Design Aids General Terms Design Keywords 3D IC, TSV-to-TSV coupling
Chang Liu, Taigon Song, Jonghyun Cho, Joohee Kim,
Added 18 Dec 2011
Updated 18 Dec 2011
Type Journal
Year 2011
Where DAC
Authors Chang Liu, Taigon Song, Jonghyun Cho, Joohee Kim, Joungho Kim, Sung Kyu Lim
Comments (0)