Sciweavers

HIPEAC
2007
Springer

Applying Decay to Reduce Dynamic Power in Set-Associative Caches

13 years 11 months ago
Applying Decay to Reduce Dynamic Power in Set-Associative Caches
Abstract. In this paper, we propose a novel approach to reduce dynamic power in set-associative caches that leverages on a leakage-saving proposal, namely Cache Decay. We thus open the possibility to unify dynamic and leakage management in the same framework. The main intuition is that in a decaying cache, dead lines in a set need not be searched. Thus, rather than trying to predict which cache way holds a specific line, we predict, for each way, whether the line could be live in it. We access all the ways that possibly contain the live line and we call this way-selection. In contrast to way-prediction, way-selection cannot be wrong: the line is either in the selected ways or not in the cache. The important implication is that we have a fixed hit time — indispensable for both performance and ease-of-implementation reasons. In order to achieve high accuracy, in terms of total ways accessed, we use Decaying Bloom filters to track only the live lines in ways — dead lines are automa...
Georgios Keramidas, Polychronis Xekalakis, Stefano
Added 07 Jun 2010
Updated 07 Jun 2010
Type Conference
Year 2007
Where HIPEAC
Authors Georgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras
Comments (0)