Sciweavers

ATS
1998
IEEE

Partitioning and Reordering Techniques for Static Test Sequence Compaction of Sequential Circuits

13 years 8 months ago
Partitioning and Reordering Techniques for Static Test Sequence Compaction of Sequential Circuits
We propose a new static test set compaction method based on a careful examination of attributes of fault coverage curves. Our method is based on two key ideas: 1 fault-list and testset partitioning, and 2 vector re-ordering. Typically, the rst few vectors of a test set detect a large number of faults. The remaining vectors usually constitute a large fraction of the test set, but these vectors are included to detect relatively few hard faults. We show that signi cant compaction can be achieved by partitioning faults into hard and easy faults. This signi cantly reduces the computational cost for static test set compaction without a ecting quality of compaction. The second technique re-orders vectors in a test set by moving sequences that detect hard faults to the beginning of the test set. Fault simulation of the newly concatenated re-ordered test set results in the omission of several vectors so that the compact test set is smaller than the original test set. Experiments on several ISC...
Michael S. Hsiao, Srimat T. Chakradhar
Added 04 Aug 2010
Updated 04 Aug 2010
Type Conference
Year 1998
Where ATS
Authors Michael S. Hsiao, Srimat T. Chakradhar
Comments (0)