Sciweavers

21 search results - page 1 / 5
» A Test-Vector Generation Methodology for Crosstalk Noise Fau...
Sort
View
DFT
2002
IEEE
108views VLSI» more  DFT 2002»
13 years 9 months ago
A Test-Vector Generation Methodology for Crosstalk Noise Faults
Hamidreza Hashempour, Yong-Bin Kim, Nohpill Park
DAC
2000
ACM
14 years 5 months ago
Self-test methodology for at-speed test of crosstalk in chip interconnects
The effect of crosstalk errors is most significant in highperformance circuits, mandating at-speed testing for crosstalk defects. This paper describes a self-test methodology that...
Xiaoliang Bai, Sujit Dey, Janusz Rajski
ISVLSI
2007
IEEE
181views VLSI» more  ISVLSI 2007»
13 years 11 months ago
Code-coverage Based Test Vector Generation for SystemC Designs
Abstract— Time-to-Market plays a central role on System-ona-Chip (SoC) competitiveness and the quality of the final product is a matter of concern as well. As SoCs complexity in...
Alair Dias Jr., Diógenes Cecilio da Silva J...
ITC
2003
IEEE
148views Hardware» more  ITC 2003»
13 years 10 months ago
HyAC: A Hybrid Structural SAT Based ATPG for Crosstalk
As technology evolves into the deep sub-micron era, signal integrity problems are growing into a major challenge. An important source of signal integrity problems is the crosstalk...
Xiaoliang Bai, Sujit Dey, Angela Krstic
ET
2002
97views more  ET 2002»
13 years 4 months ago
Test Generation for Crosstalk-Induced Faults: Framework and Computational Results
Due to technology scaling and increasing clock frequency, problems due to noise effects lead to an increase in design/debugging efforts and a decrease in circuit performance. This...
Wei-Yu Chen, Sandeep K. Gupta, Melvin A. Breuer