Sciweavers

DATE
2009
IEEE

Analysis and optimization of NBTI induced clock skew in gated clock trees

13 years 11 months ago
Analysis and optimization of NBTI induced clock skew in gated clock trees
NBTI (Negative Bias Temperature Instability) has emerged as the dominant PMOS device failure mechanism for sub100nm VLSI designs. There is little research to quantify its impact on skew of clock trees. This paper demonstrates a mathematical framework to compute the impact of NBTI on gating-enabled clock tree considering their workload dependent temperature variation. Circuit design techniques are proposed to deal with NBTI induced clock skew by achieving balance in NBTI degradation of clock devices. Our technique achieves up-to 70% reduction in clock skew degradation with miniscule (<0.1%) power and area penalty.
Ashutosh Chakraborty, Gokul Ganesan, Anand Rajaram
Added 20 May 2010
Updated 20 May 2010
Type Conference
Year 2009
Where DATE
Authors Ashutosh Chakraborty, Gokul Ganesan, Anand Rajaram, David Z. Pan
Comments (0)