Sciweavers

ISVLSI
2007
IEEE

Performance of Graceful Degradation for Cache Faults

13 years 11 months ago
Performance of Graceful Degradation for Cache Faults
In sub-90nm technologies, more frequent hard faults pose a serious burden on processor design and yield control. In addition to manufacturing-time chip repair schemes, microarchitectural techniques to make processor components resilient to hard faults will become increasingly important. This paper considers defects in cache memory and studies their impact on program performance using a fault degradable cache model. We first describe how defects at the circuit level in cache manifest themselves at the microarchitecture level. We then examine several strategies for masking faults, by disabling faulty resources, such as lines, sets, ways, ports, or even the whole cache. We also propose an efficient cache set remapping scheme to recover lost performance due to failed sets. Using a new simulation tool, called CAF´E, we study how the cache faults impact program performance under the various masking schemes.
Hyunjin Lee, Sangyeun Cho, Bruce R. Childers
Added 04 Jun 2010
Updated 04 Jun 2010
Type Conference
Year 2007
Where ISVLSI
Authors Hyunjin Lee, Sangyeun Cho, Bruce R. Childers
Comments (0)