Sciweavers

ISQED
2006
IEEE

On Optimizing Scan Testing Power and Routing Cost in Scan Chain Design

13 years 10 months ago
On Optimizing Scan Testing Power and Routing Cost in Scan Chain Design
— With advanced VLSI manufacturing technology in deep submicron (DSM) regime, we can integrate entire electronic systems on a single chip (SoC). Due to the complexity in SoC design, circuit testability becomes one of the most challenging works. Without careful design in scan cell placement and chain ordering, circuits consume much more power in test mode operation than that in normal functional mode. This elevated testing power may cause problems including overall yield lost and instant circuit damage. In this paper, we present an approach to simultaneously minimizing power and routing cost in scan chain reordering after cell placement. We formulate the problem as a Traveling Salesman Problem (TSP), different cost evaluation from [3], [5], and apply an efficient heuristic to solve it. The experimental results are encouraging. Compared with a recent result in [3], which uses the approach with clustering overhead, we obtain up to 10% average power saving under the same low routing cos...
Li-Chung Hsu, Hung-Ming Chen
Added 12 Jun 2010
Updated 12 Jun 2010
Type Conference
Year 2006
Where ISQED
Authors Li-Chung Hsu, Hung-Ming Chen
Comments (0)